summaryrefslogtreecommitdiffstats
path: root/v6.4/9ad743515cc59275653f719886d1b93fa7a824ab
blob: f2ba9700062e547f6280491862973d9723360248 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
9ad743515cc5 ("drm/xe/uapi: Standardize the FLAG naming and assignment")
3ac4a7896d1c ("drm/xe/uapi: Add _FLAG to uAPI constants usable for flags")
d5dc73dbd148 ("drm/xe/uapi: Add missing DRM_ prefix in uAPI constants")
be13336e07b5 ("drm/xe/pmu: Drop interrupt pmu event")
60f3c7fc5c24 ("drm/xe/uapi: Remove unused QUERY_CONFIG_GT_COUNT")
4195e5e5e3d5 ("drm/xe/uapi: Remove unused QUERY_CONFIG_MEM_REGION_COUNT")
1a912c90a278 ("drm/xe/uapi: Remove GT_TYPE_REMOTE")
de84aa96e442 ("drm/xe/uapi: Remove useless XE_QUERY_CONFIG_NUM_PARAM")
44e694958b95 ("drm/xe/display: Implement display support")
571622740288 ("drm/xe: implement driver initiated function-reset")
b8d70702def2 ("drm/xe/xe_exec_queue: Add check for access counter granularity")
e48d146456e3 ("drm/xe/uapi: Fix naming of XE_QUERY_CONFIG_MAX_EXEC_QUEUE_PRIORITY")
e16b48378527 ("drm/xe/uapi: Rename gts to gt_list")
2519450aaa31 ("drm/xe/uapi: Replace useless 'instance' per unique gt_id")
25f656f534f4 ("drm/xe/uapi: Document drm_xe_query_gt")
f3e9b1f43458 ("drm/xe: Remove async worker and rework sync binds")
b21ae51dcf41 ("drm/xe/uapi: Kill DRM_XE_UFENCE_WAIT_VM_ERROR")
7224788f6756 ("drm/xe: Kill XE_VM_PROPERTY_BIND_OP_ERROR_CAPTURE_ADDRESS extension")
5dc079d1a8e5 ("drm/xe/uapi: Use common drm_xe_ext_set_property extension")
bffb2573726b ("drm/xe: Remove XE_EXEC_QUEUE_SET_PROPERTY_COMPUTE_MODE from uAPI")