summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorAndy Lutomirski <luto@amacapital.net>2014-02-26 15:59:20 -0800
committerAndy Lutomirski <luto@amacapital.net>2014-02-26 15:59:20 -0800
commitd661e356ce69580601053411b00de81c212ecbc2 (patch)
treedef2a62e34bd033429c65537a145bbba291c9f70
parent4cab64634a909a480963094af6f4ee744a17bd33 (diff)
downloadmisc-tests-d661e356ce69580601053411b00de81c212ecbc2.tar.gz
Add benchmark_vdso script
-rwxr-xr-xbenchmark_vdso25
1 files changed, 25 insertions, 0 deletions
diff --git a/benchmark_vdso b/benchmark_vdso
new file mode 100755
index 0000000..5b84426
--- /dev/null
+++ b/benchmark_vdso
@@ -0,0 +1,25 @@
+#!/bin/bash
+
+cd "$(dirname $0)"
+
+./timing_test 100 vclock_gettime 0 >/dev/null # Warm up
+
+echo "CLOCK_REALTIME:"
+./timing_test 100 vclock_gettime 0
+./timing_test 100 vclock_gettime 0
+./timing_test 100 vclock_gettime 0
+
+echo "CLOCK_MONOTONIC:"
+./timing_test 100 vclock_gettime 1
+./timing_test 100 vclock_gettime 1
+./timing_test 100 vclock_gettime 1
+
+echo "CLOCK_REALTIME_COARSE:"
+./timing_test 100 vclock_gettime 5
+./timing_test 100 vclock_gettime 5
+./timing_test 100 vclock_gettime 5
+
+echo "CLOCK_MONOTONIC_COARSE:"
+./timing_test 100 vclock_gettime 6
+./timing_test 100 vclock_gettime 6
+./timing_test 100 vclock_gettime 6 \ No newline at end of file