aboutsummaryrefslogtreecommitdiffstats
path: root/drivers/clk/meson
AgeCommit message (Expand)AuthorFilesLines
2024-05-03clk: meson: s4: fix module autoloadingKrzysztof Kozlowski2-0/+2
2024-04-10clk: meson: fix module license to GPL onlyNeil Armstrong18-18/+18
2024-04-10clk: meson: g12a: make VCLK2 and ENCL clock path configurable by CCFNeil Armstrong2-20/+57
2024-04-10clk: meson: add vclk driverNeil Armstrong4-0/+197
2024-03-29clk: meson: pll: print out pll name when unable to lock itDmitry Rokosov1-2/+2
2024-03-29clk: meson: s4: pll: determine maximum register in regmap configDmitry Rokosov1-0/+1
2024-03-29clk: meson: s4: peripherals: determine maximum register in regmap configDmitry Rokosov1-0/+1
2024-03-29clk: meson: a1: pll: determine maximum register in regmap configDmitry Rokosov1-0/+1
2024-03-29clk: meson: a1: peripherals: determine maximum register in regmap configDmitry Rokosov1-0/+1
2024-02-05clk: meson: Add missing clocks to axg_clk_regmapsIgor Prusov1-0/+2
2023-11-24clk: meson: g12a: add CSI & ISP gates clocksNeil Armstrong1-0/+9
2023-11-24clk: meson: g12a: add MIPI ISP clocksNeil Armstrong2-0/+67
2023-11-24clk: meson: g12a: add CTS_ENCL & CTS_ENCL_SEL clocksNeil Armstrong1-0/+40
2023-10-23clk: meson: S4: select CONFIG_COMMON_CLK_MESON_CLKC_UTILSArnd Bergmann1-0/+2
2023-09-27clk: meson: S4: add support for Amlogic S4 SoC peripheral clock controllerYu Tu4-0/+3881
2023-09-27clk: meson: S4: add support for Amlogic S4 SoC PLL clock driverYu Tu4-0/+918
2023-08-30Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds28-3287/+2727
2023-08-30Merge branches 'clk-versa', 'clk-strdup', 'clk-amlogic', 'clk-allwinner' and ...Stephen Boyd28-3279/+2719
2023-08-08clk: meson: axg-audio: move bindings include to main driverNeil Armstrong2-3/+2
2023-08-08clk: meson: meson8b: move bindings include to main driverNeil Armstrong2-7/+3
2023-08-08clk: meson: a1: move bindings include to main driverNeil Armstrong4-6/+4
2023-08-08clk: meson: eeclk: move bindings include to main driverNeil Armstrong6-9/+6
2023-08-08clk: meson: aoclk: move bindings include to main driverNeil Armstrong6-45/+9
2023-08-08dt-bindings: clk: axg-audio-clkc: expose all clock idsNeil Armstrong1-70/+0
2023-08-08dt-bindings: clk: amlogic,a1-pll-clkc: expose all clock idsNeil Armstrong1-15/+0
2023-08-08dt-bindings: clk: amlogic,a1-peripherals-clkc: expose all clock idsNeil Armstrong1-63/+0
2023-08-08dt-bindings: clk: meson8b-clkc: expose all clock idsNeil Armstrong1-108/+0
2023-08-08dt-bindings: clk: g12a-aoclkc: expose all clock idsNeil Armstrong1-17/+0
2023-08-08dt-bindings: clk: g12a-clks: expose all clock idsNeil Armstrong1-140/+0
2023-08-08dt-bindings: clk: axg-clkc: expose all clock idsNeil Armstrong1-58/+0
2023-08-08dt-bindings: clk: gxbb-clkc: expose all clock idsNeil Armstrong1-76/+0
2023-08-08clk: meson: migrate axg-audio out of hw_onecell_data to drop NR_CLKSNeil Armstrong3-428/+424
2023-08-08clk: meson: migrate meson8b out of hw_onecell_data to drop NR_CLKSNeil Armstrong3-658/+660
2023-08-08clk: meson: migrate a1 clock drivers out of hw_onecell_data to drop NR_CLKSNeil Armstrong5-180/+183
2023-08-08clk: meson: migrate meson-aoclk out of hw_onecell_data to drop NR_CLKSNeil Armstrong9-73/+68
2023-08-08clk: meson: migrate meson-eeclk out of hw_onecell_data to drop NR_CLKSNeil Armstrong9-1323/+1312
2023-08-08clk: meson: introduce meson-clkc-utilsNeil Armstrong4-0/+48
2023-07-19clk: Explicitly include correct DT includesRob Herring8-8/+8
2023-07-11clk: meson: change usleep_range() to udelay() for atomic contextDmitry Rokosov1-2/+2
2023-06-15clk: meson: pll: remove unneeded semicolonJiapeng Chong1-1/+1
2023-06-12clk: meson: a1: Staticize rtc clkStephen Boyd1-1/+1
2023-05-30clk: meson: a1: add Amlogic A1 Peripherals clock controller driverDmitry Rokosov4-0/+2367
2023-05-30clk: meson: a1: add Amlogic A1 PLL clock controller driverDmitry Rokosov4-0/+414
2023-05-30clk: meson: introduce new pll power-on sequence for A1 SoC familyDmitry Rokosov2-0/+25
2023-05-30clk: meson: make pll rst bit as optionalDmitry Rokosov1-7/+17
2023-01-13clk: meson: clk-cpu-dyndiv: switch from .round_rate to .determine_rateMartin Blumenstingl1-5/+4
2023-01-13clk: meson: sclk-div: switch from .round_rate to .determine_rateMartin Blumenstingl1-5/+6
2023-01-13clk: meson: dualdiv: switch from .round_rate to .determine_rateMartin Blumenstingl1-8/+13
2023-01-13clk: meson: mpll: Switch from .round_rate to .determine_rateMartin Blumenstingl1-7/+13
2022-12-12Merge branches 'clk-bindings', 'clk-renesas', 'clk-amlogic', 'clk-allwinner' ...Stephen Boyd1-8/+12
2022-11-22clk: Remove a useless includeChristophe JAILLET1-1/+0
2022-11-08clk: meson: pll: add pcie lock retry workaroundHeiner Kallweit1-4/+8
2022-11-08clk: meson: pll: adjust timeout in meson_clk_pll_wait_lock()Heiner Kallweit1-4/+4
2022-08-19clk: meson: Hold reference returned by of_get_parent()Liang He3-3/+12
2022-06-15clk: meson: axg-audio: Don't duplicate devm_clk_get_enabled()Uwe Kleine-König1-32/+4
2022-03-11clk: cleanup commentsTom Rix1-1/+1
2021-11-30clk: meson: gxbb: Fix the SDM_EN bit for MPLL0 on GXBBMartin Blumenstingl1-3/+41
2021-09-23clk: meson: meson8b: Make the video clock trees mutableMartin Blumenstingl1-38/+38
2021-09-23clk: meson: meson8b: Initialize the HDMI PLL registersMartin Blumenstingl2-5/+48
2021-09-23clk: meson: meson8b: Add the HDMI PLL M/N parametersMartin Blumenstingl1-0/+22
2021-09-23clk: meson: meson8b: Add the vid_pll_lvds_en gate clockMartin Blumenstingl2-2/+24
2021-09-23clk: meson: meson8b: Use CLK_SET_RATE_NO_REPARENT for vclk{,2}_in_selMartin Blumenstingl1-2/+2
2021-09-23clk: meson: meson8b: Export the video clocksMartin Blumenstingl1-11/+1
2021-06-30clk: meson: regmap: switch to determine_rate for the dividersMartin Blumenstingl1-10/+9
2021-06-09clk: meson: g12a: Add missing NNA source clocks for g12bNick Xie1-0/+6
2021-05-24clk: meson: axg-audio: improve deferral handlingJerome Brunet1-3/+2
2021-05-20clk: meson: g12a: fix gp0 and hifi rangesJerome Brunet1-1/+1
2021-05-19clk: meson: pll: switch to determine_rate for the PLL opsMartin Blumenstingl1-11/+15
2021-02-09clk: meson: axg: Remove MIPI enable clock gateRemi Pommarel2-4/+0
2021-01-04clk: meson: meson8b: remove compatibility code for old .dtbsMartin Blumenstingl1-40/+5
2021-01-04clk: meson: clk-pll: propagate the error from meson_clk_pll_set_rate()Martin Blumenstingl1-2/+3
2021-01-04clk: meson: clk-pll: make "ret" a signed integerMartin Blumenstingl1-1/+2
2021-01-04clk: meson: clk-pll: fix initializing the old rate (fallback) for a PLLMartin Blumenstingl1-1/+1
2020-12-21Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds11-61/+1004
2020-11-26clk: meson: g12a: add MIPI DSI Host Pixel ClockNeil Armstrong2-1/+76
2020-11-23clk: meson: enable building as modulesKevin Hilman9-9/+34
2020-11-23clk: meson: Kconfig: fix dependency for G12AKevin Hilman1-0/+1
2020-11-23clk: meson: axg: add MIPI DSI Host clockNeil Armstrong2-1/+69
2020-11-23clk: meson: axg: add Video ClocksNeil Armstrong2-1/+773
2020-11-14clk: meson: g12: use devm variant to register notifiersJerome Brunet1-14/+20
2020-11-14clk: meson: g12: drop use of __clk_lookup()Jerome Brunet1-36/+32
2020-10-28clk: define to_clk_regmap() as inline functionArnd Bergmann1-1/+4
2020-10-20Merge branches 'clk-semicolon', 'clk-axi-clkgen', 'clk-qoriq', 'clk-baikal', ...Stephen Boyd1-1/+1
2020-10-13clk: meson: use semicolons rather than commas to separate statementsJulia Lawall1-1/+1
2020-09-10clk: meson: make shipped controller configurableJerome Brunet1-9/+17
2020-08-29clk: meson: g12a: mark fclk_div2 as criticalStefan Agner1-0/+11
2020-08-17clk: meson: axg-audio: fix g12a tdmout sclk inverterJerome Brunet1-25/+60
2020-08-17clk: meson: axg-audio: separate axg and g12a regmap tablesJerome Brunet1-8/+127
2020-08-17clk: meson: add sclk-ws driverJerome Brunet2-0/+62
2020-07-21Merge branch 'clk-amlogic' into clk-nextStephen Boyd4-19/+178
2020-07-10Replace HTTP links with HTTPS ones: Common CLK frameworkAlexander A. Klimov1-1/+1
2020-07-09clk: meson: meson8b: add the vclk2_en gate clockMartin Blumenstingl2-6/+27
2020-07-09clk: meson: meson8b: add the vclk_en gate clockMartin Blumenstingl2-6/+27
2020-06-24clk: meson: meson8b: Drop CLK_IS_CRITICAL from fclk_div2Martin Blumenstingl1-7/+0
2020-06-19clk: meson: g12a: Add support for NNA CLK source clocksDmitry Shmidt2-1/+125
2020-05-02clk: meson: meson8b: Don't rely on u-boot to init all GP_PLL registersMartin Blumenstingl2-0/+13
2020-04-29clk: meson: meson8b: Make the CCF use the glitch-free VPU muxMartin Blumenstingl1-3/+11
2020-04-29clk: meson: meson8b: Fix the vclk_div{1, 2, 4, 6, 12}_en gate bitsMartin Blumenstingl1-5/+5
2020-04-29clk: meson: meson8b: Fix the polarity of the RESET_N linesMartin Blumenstingl1-23/+56
2020-04-29clk: meson: meson8b: Fix the first parent of vid_pll_in_selMartin Blumenstingl1-1/+1
2020-04-16clk: meson: g12a: Prepare the GPU clock tree to change at runtimeMartin Blumenstingl1-8/+22
2020-04-16clk: meson: gxbb: Prepare the GPU clock tree to change at runtimeMartin Blumenstingl1-18/+22
2020-04-14clk: meson: meson8b: make the hdmi_sys clock tree mutableMartin Blumenstingl1-3/+3
2020-04-14clk: meson8b: export the HDMI system clockMartin Blumenstingl1-1/+0
2020-02-21clk: meson: meson8b: set audio output clock hierarchyMartin Blumenstingl1-8/+13
2020-02-19clk: meson: g12a: add support for the SPICC SCLK Source clocksNeil Armstrong2-1/+134
2020-02-13clk: meson: gxbb: set audio output clock hierarchyJerome Brunet1-8/+10
2020-02-13clk: meson: gxbb: add the gxl internal dac gateJerome Brunet2-1/+4
2020-01-31Merge branches 'clk-debugfs-danger', 'clk-basic-hw', 'clk-renesas', 'clk-amlo...Stephen Boyd5-56/+229
2020-01-07clk: meson: meson8b: make the CCF use the glitch-free mali muxMartin Blumenstingl1-4/+7
2019-12-23clk: let init callback return an error codeJerome Brunet4-4/+12
2019-12-16Merge branch 'v5.5/fixes' into v5.6/driversJerome Brunet2-0/+10
2019-12-16clk: meson: pll: Fix by 0 division in __pll_params_to_rate()Remi Pommarel1-0/+9
2019-12-16clk: meson: g12a: fix missing uart2 in regmap tableJerome Brunet1-0/+1
2019-12-11clk: meson: meson8b: use of_clk_hw_register to register the clocksMartin Blumenstingl1-1/+1
2019-12-11clk: meson: meson8b: don't register the XTAL clock when provided via OFMartin Blumenstingl1-3/+9
2019-12-11clk: meson: meson8b: change references to the XTAL clock to use [fw_]nameMartin Blumenstingl1-34/+44
2019-12-11clk: meson: meson8b: use clk_hw_set_parent in the CPU clock notifierMartin Blumenstingl1-13/+8
2019-12-11clk: meson: add a driver for the Meson8/8b/8m2 DDR clock controllerMartin Blumenstingl2-1/+150
2019-10-14clk: meson: axg-audio: use devm_platform_ioremap_resource() to simplify codeYueHaibing1-3/+1
2019-10-08clk: meson: axg_audio: add sm1 supportJerome Brunet2-30/+574
2019-10-08clk: meson: axg-audio: provide clk top signal nameJerome Brunet2-4/+17
2019-10-08clk: meson: axg-audio: prepare sm1 additionJerome Brunet1-685/+782
2019-10-08clk: meson: axg-audio: fix regmap last registerJerome Brunet1-1/+1
2019-10-08clk: meson: axg-audio: remove useless definesJerome Brunet1-4/+0
2019-10-01clk: meson: g12a: set CLK_MUX_ROUND_CLOSEST on the cpu clock muxesNeil Armstrong1-0/+9
2019-10-01clk: meson: g12a: fix cpu clock rate settingNeil Armstrong1-2/+2
2019-10-01clk: meson: gxbb: let sar_adc_clk_div set the parent clock rateMartin Blumenstingl1-0/+1
2019-09-19Merge branches 'clk-init-destroy', 'clk-doc', 'clk-imx' and 'clk-allwinner' i...Stephen Boyd1-2/+5
2019-08-26clk: meson: g12a: add support for SM1 CPU 1, 2 & 3 clocksNeil Armstrong2-1/+61
2019-08-26clk: meson: g12a: add support for SM1 DynamIQ Shared Unit clockNeil Armstrong2-1/+198
2019-08-26clk: meson: g12a: add support for SM1 GP1 PLLNeil Armstrong2-1/+310
2019-08-20clk: meson: axg-audio: add g12a reset supportJerome Brunet2-2/+106
2019-08-16clk: meson: axg-audio: Don't reference clk_init_data after registrationStephen Boyd1-2/+5
2019-08-09Merge branch 'v5.4/dt' into v5.4/driversJerome Brunet1-1/+0
2019-08-09clk: meson: g12a: expose CPUB clock ID for G12BNeil Armstrong1-1/+0
2019-08-09clk: meson: g12a: add notifiers to handle cpu clock changeNeil Armstrong1-54/+481
2019-08-09clk: meson: add g12a cpu dynamic divider driverNeil Armstrong4-0/+99
2019-07-29clk: meson: remove clk input helperAlexandre Mergnat4-72/+0
2019-07-29clk: meson: remove ee input bypass clocksAlexandre Mergnat3-13/+0
2019-07-29clk: meson: clk-regmap: migrate to new parent description methodAlexandre Mergnat5-6/+21
2019-07-29clk: meson: meson8b: migrate to the new parent description methodAlexandre Mergnat1-211/+496
2019-07-29clk: meson: axg: migrate to the new parent description methodAlexandre Mergnat1-60/+144
2019-07-29clk: meson: gxbb: migrate to the new parent description methodAlexandre Mergnat1-203/+451
2019-07-29clk: meson: g12a: migrate to the new parent description methodAlexandre Mergnat1-394/+693
2019-07-29clk: meson: remove ao input bypass clocksAlexandre Mergnat3-46/+0
2019-07-29clk: meson: axg-aoclk: migrate to the new parent description methodAlexandre Mergnat1-26/+37
2019-07-29clk: meson: gxbb-aoclk: migrate to the new parent description methodAlexandre Mergnat1-28/+27
2019-07-29clk: meson: g12a-aoclk: migrate to the new parent description methodAlexandre Mergnat1-31/+50
2019-07-29clk: meson: axg-audio: migrate to the new parent description methodAlexandre Mergnat2-142/+120
2019-07-25clk: meson: g12a: fix hifi typo in mali parent_namesAlexandre Mergnat1-1/+1
2019-07-17Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds10-23/+1074
2019-06-28Merge tag 'clk-fixes-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/...Linus Torvalds3-8/+8
2019-06-11clk: meson: g12a: mark fclk_div3 as criticalNeil Armstrong1-0/+10
2019-06-11clk: meson: g12a: Add support for G12B CPUB clocksNeil Armstrong2-1/+801
2019-06-11clk: meson-g12a: add temperature sensor clocksGuillaume La Roque2-1/+33
2019-06-11clk: meson: meson8b: add the cts_i958 clockMartin Blumenstingl2-1/+25
2019-06-11clk: meson: meson8b: add the cts_mclk_i958 clocksMartin Blumenstingl2-1/+69
2019-06-11clk: meson: meson8b: add the cts_amclk clocksMartin Blumenstingl2-1/+69
2019-05-21treewide: Add SPDX license identifier - Makefile/KconfigThomas Gleixner2-0/+2
2019-05-20clk: meson: g12a: add controller register initJerome Brunet1-1/+7
2019-05-20clk: meson: eeclk: add init regsJerome Brunet2-0/+5
2019-05-20clk: meson: g12a: add mpll register init sequencesJerome Brunet1-0/+24
2019-05-20clk: meson: mpll: add init callback and regsJerome Brunet2-11/+26
2019-05-20clk: meson: axg: spread spectrum is on mpll2Jerome Brunet1-5/+5
2019-05-20clk: meson: gxbb: no spread spectrum on mpll0Jerome Brunet1-5/+0
2019-05-20clk: meson: mpll: properly handle spread spectrumJerome Brunet2-3/+7
2019-05-20clk: meson: meson8b: fix a typo in the VPU parent names array variableMartin Blumenstingl1-5/+5
2019-05-20clk: meson: fix MPLL 50M binding id typoJerome Brunet2-3/+3
2019-05-07Merge branches 'clk-doc', 'clk-more-critical', 'clk-meson' and 'clk-basic-be'...Stephen Boyd9-516/+2171
2019-04-08clk: meson: axg-audio: add g12a supportMaxime Jourdan2-8/+239
2019-04-08clk: meson: axg-audio: don't register inputs in the onecell dataJerome Brunet2-44/+6
2019-04-08clk: meson: axg_audio: replace prefix axg by audJerome Brunet1-482/+482
2019-04-01clk: meson: meson8b: add the video decoder clock treesMartin Blumenstingl2-1/+328
2019-04-01clk: meson: meson8b: add the VPU clock treesMartin Blumenstingl2-1/+175
2019-04-01clk: meson: meson8b: add support for the GP_PLL clock on Meson8m2Martin Blumenstingl2-1/+66
2019-04-01clk: meson: meson8b: use a separate clock table for Meson8m2Martin Blumenstingl1-1/+192
2019-04-01clk: meson-g12a: add video decoder clocksMaxime Jourdan2-1/+170
2019-04-01clk: meson-g12a: add PCIE PLL clocksNeil Armstrong2-1/+122
2019-04-01clk: meson-pll: add reduced specific clk_ops for G12A PCIe PLLNeil Armstrong2-0/+27
2019-04-01clk: meson: g12a: add cpu clocksNeil Armstrong2-1/+371
2019-04-01dt-bindings: clock: g12a-aoclk: expose CLKID_AO_CTS_OSCINNeil Armstrong1-1/+0
2019-04-01dt-bindings: clock: axg-audio: unexpose controller inputsJerome Brunet1-0/+20
2019-03-29clk: meson: vid-pll-div: remove warning and return 0 on invalid configNeil Armstrong1-2/+2
2019-03-25clk: meson: pll: fix rounding and setting a rate that matches preciselyMartin Blumenstingl1-1/+1
2019-03-19clk: g12a-aoclk: re-export CLKID_AO_SAR_ADC_SEL clock idNeil Armstrong1-1/+0
2019-03-19clk: meson-g12a: fix VPU clock parentsNeil Armstrong1-1/+1
2019-03-19clk: meson: g12a: fix VPU clock muxes maskMaxime Jourdan1-2/+2
2019-03-19clk: meson-gxbb: round the vdec dividers to closestMaxime Jourdan1-0/+2
2019-02-13clk: meson: meson8b: fix the naming of the APB clocksMartin Blumenstingl2-14/+14
2019-02-13clk: meson: Add G12A AO Clock + Reset ControllerNeil Armstrong4-1/+491
2019-02-04clk: meson: factorise meson64 peripheral clock controller driversJerome Brunet7-176/+313
2019-02-04clk: meson: g12a: add peripheral clock controllerJian Hu5-2/+2594
2019-02-04clk: meson: pll: update driver for the g12aJerome Brunet2-59/+154
2019-02-02clk: meson: rework and clean drivers dependenciesJerome Brunet29-281/+465
2019-02-02clk: meson: axg-audio does not require sysconJerome Brunet1-1/+1
2019-01-18clk: meson: ao-clkc: claim clock controller input clocks from DTJerome Brunet4-14/+82
2019-01-18clk: meson: axg: claim clock controller input clock from DTJerome Brunet1-8/+19
2019-01-18clk: meson: gxbb: claim clock controller input clock from DTJerome Brunet1-13/+24
2019-01-07clk: meson: meson8b: add the GPU clock treeMartin Blumenstingl2-1/+154